0:07.44 real,7.49 user,0.25 sys