0:05.26 real,6.40 user,0.27 sys