0:04.30 real,4.52 user,0.30 sys